The Mips R10000 superscalar microprocessor

نویسنده

  • Kenneth C. Yeager
چکیده

cache refills early. he Mips RlOOOO is a dynamic, superscalar microprocessor that implements T the 64-bit Mips 4 instruction set architecture. It fetches and decodes four instructions per cycle and dynamically issues them to five fully-pipelined, low-latency execution units. Instructions can be fetched and executed speculatively beyond branches. Instructions graduate in order upon completion. Although execution is out of order, the processor still provides sequential memory consistency and precise exception handling. The RlOOOO is designed for high performance, even in large, real-world applications with poor memory locality. With speculative execution, it calculates memory addresses and initiates cache refills early. Its hierarchical, nonblocking memory system helps hide memory latency with two levels of set-associative, write-back caches. Figure 1 shows the RlOOOO system configuration, and the RlOOOO box lists its principal features. Out-of-order superscalar processors are inherently complex. To cope with this complexity, the RlOOOO uses a modular design that locates much of the control logic within regular structures, including the active list, register map tables, and instruction queues.

برای دانلود رایگان متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

The nMips R10000 Superscalar Microprocessor - IEEE Micro

cache refills early. he Mips RlOOOO is a dynamic, superscalar microprocessor that implements T the 64-bit Mips 4 instruction set architecture. It fetches and decodes four instructions per cycle and dynamically issues them to five fully-pipelined, low-latency execution units. Instructions can be fetched and executed speculatively beyond branches. Instructions graduate in order upon completion. A...

متن کامل

MIPS R10000 Uses Decoupled Architecture: 10/24/94

Not to be left out in the move to the next generation of RISC, MIPS Technologies (MTI) unveiled the design of the R10000, also known as T5. As the spiritual successor to the R4000, the new design will be the basis of high-end MIPS processors for some time, at least until 1997. By swapping superpipelining for an aggressively out-oforder superscalar design, the R10000 has the potential to deliver...

متن کامل

A Study of Out-of-Order Completion for the MIPS R10K Superscalar Processor

Instruction level parallelism (ILP) improves performance for VLIW, EPIC, and Superscalar processors. Out-of-order execution improves performance further. The advantage of out-of-order execution is not fully utilized due to in-order completion. In this report we study the performance loss due to in-order completion for MIPS R10000 processor.

متن کامل

The Microarchitecture of Superscalar Processors

Superscalar processing is the latest in a long series of innovations aimed at producing ever-faster microprocessors. By exploiting instruction-level parallelism, superscalar processors are capable of executing more than one instruction in a clock cycle. This paper discusses the microarchitecture of superscalar processors. We begin with a discussion of the general problem solved by superscalar p...

متن کامل

A study of value speculative execution and misspeculation recovery in superscalar microprocessors

Recent research has shown that value prediction is a promising way to collapse the true data dependencies. To fully exploit the potential of value speculation, however, a highly accurate value predictor and efficient architectural support for value speculative execution are both necessary. In this paper, we address in detail value speculative execution in a generalized superscalar model based o...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

عنوان ژورنال:
  • IEEE Micro

دوره 16  شماره 

صفحات  -

تاریخ انتشار 1996